CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯

搜索资源列表

  1. lift.rar

    0下载:
  2. (1)用VHDL实现四层电梯运行控制器。 (2)电梯运行锁用一按钮代替(开锁上电),低电平可以运行,高电平不能运行。 (3)每层电梯入口处设有上行、下行请求按钮,电梯内设有乘客到达层次的停站要求开关,高电平有效。 (4)有电梯所处楼层指示灯和电梯上行、下行状态指示灯。 (5)电梯到达某一层时,该层指示灯亮,并一直保持到电梯到达另一层为止。电梯上行或下行时,相应状态指示灯亮。 (6)电梯接收到停站请求后,每层运行2秒,到达停站层,停留2秒后门自动打开,开门指示灯亮,开门6秒后电梯自动关门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289482
    • 提供者:管皮皮
  1. lift

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current loc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:77165
    • 提供者:zhaorongjian
  1. Elevator_controller

    0下载:
  2. 电梯控制器VHDL程序与仿真,程序注释详细,可读性强。-Elevator controller and simulation of VHDL program, the program notes in detail, strong readability.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161886
    • 提供者:
  1. liftvhdl

    0下载:
  2. 四层电梯vhdl 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:9271
    • 提供者:林姗
  1. dianti

    0下载:
  2. 六层电梯,实现优先级响应,同方向先响应,具体请看源代码-Six-storey elevator to achieve priority response, with the direction of first response, details, please read the source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:393277
    • 提供者:chjiang
  1. lift

    0下载:
  2. 功能完善的四层电梯程序。开门停8秒,上升或者下降一层用时5秒,有五秒倒计时数码管,楼层显示数码管,以及电梯内外楼层请求显示灯。-A well-functioning procedures for four-storey elevator. 8 seconds to open the door stopped, increased or decreased when a layer of 5 seconds, the 5 seconds countdown digital tube, digita
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1771
    • 提供者:韩代榕
  1. VDHL

    0下载:
  2. 电梯的设计·用来控制6层的电梯设计原来·VHDL语言-Elevator designed to control the lift design 6 original VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162737
    • 提供者:tdh
  1. dt

    0下载:
  2. 电梯自动控制程序,是自己用的一个小程序 -Elevator automatic procedure is itself a small program used
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:380275
    • 提供者:lyy
  1. THREELIFT

    0下载:
  2. 三层电梯控制器 1.每层没门口有上升和下降请求开关,电梯内设有顾客到达层次停站请求开关 2.门口有位置指示装置及电梯运行模式指示装置 3.电梯每秒升(降)一层楼 4.到达有停站请求的楼层经过一秒打开门,开门灯亮,四秒后关门,开门灯灭,继续运行,直到最后一个请求信号停留在当前层 5能记忆电梯内所有请求,按电梯运行规则顺序响应,每个请求信号保留至执行后消除 6.电梯运行规则:当电梯上升时,只响应比所在位置高的上升请求,依次执行 如有高层下降请求,则直上到该层然后下降.下降时相
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:4794
    • 提供者:吴琳珠
  1. compelete

    0下载:
  2. 三层电梯 十分有用哦 无须改正,只要输入,通过防真就能得到答案.-Elevator very useful three-oh there is no need to correct, as long as the input, through the anti-real will be able to get an answer.
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:5278
    • 提供者:丁香
  1. lift3

    0下载:
  2. 本程序是三层电梯程序,包括控制部分、led数码管显示部分,并可由此程序模仿写出任意层次的电梯程序-This procedure is a three-lift procedures, including control of some, led digital tube display, and the resulting program-level imitation write arbitrary lift procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1853
    • 提供者:zhaohongliang
  1. dianti

    0下载:
  2. 本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器。-The elevator controller is divided into the main controller and sub controller. Main controller is inside the elevator controllers, each floor there is a sub-controller.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:2250
    • 提供者:kid
  1. Lift_Controller

    0下载:
  2. 这个文件包含了我前一段写的关于3~8电梯控制的4-5个程序!并且附有比较详细的注释.准确说这是一份课程设计报告.在最终版本的程序中对于FLEX10K系列器件只占用141个逻辑单元,频率可达60多Mhz,选择CycloneII器件可达260多Mhz.因为包含了好几个程序,希望站长不要只安一个程序处理,能及时开通!-This document contains a section of my previous writing on the 3 to 8 elevator control proced
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:897955
    • 提供者:jesse
  1. dianti

    0下载:
  2. 电梯控制器程序设计 六层楼 含有详细解释以及波形-Elevator controller program design containing six-storey buildings, as well as a detailed explanation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162374
    • 提供者:龙丽丽
  1. lift_syn

    0下载:
  2. 实现简易4层电梯控制核心模块,完成了电梯上下层控制、指示灯显示、优先级判断等多种常用功能。-The realization of simple 4 layer core elevator control module, the completion of the elevator on the lower control, indicator light shows that determine the priority and many other commonly used function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:65170
    • 提供者:lixiaoyang
  1. ff

    1下载:
  2. QUARTUS II平台上的基于VHDL语言的电梯系统控制程序。-QUARTUS II platform based on the VHDL language elevator system control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259270
    • 提供者:凌丽
  1. Lift

    1下载:
  2. VHDL编写的6层电梯控制器,可在Altera的CPLD系统运行实验,内附实验报告-VHDL prepared 6-storey elevator controller in Altera s CPLD system experiment, experimental report containing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:770573
    • 提供者:许昕
  1. liucengdianti

    0下载:
  2. 六层电梯控制器:可以基本实现六层电梯控制器的各种功能.-Six-storey elevator controller: You can basically realize the six-storey elevator controller functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4982
    • 提供者:孙超
  1. 61EDA_D929

    0下载:
  2. 控制两组,每组三个电梯的verilog实现-Control of two groups of three elevators Verilog realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:669236
    • 提供者:吴奉
  1. vhdl

    0下载:
  2. 电梯控制器的模块电路,其中一个很重要的模块,是txt格式的代码-Elevator controller module circuit, which is a very important module is the code txt format
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:1557
    • 提供者:yang
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com